英特尔加速制程工艺和封装技术创新

发布时间:2021-7-27 09:21    发布者:eechina
关键词: 英特尔 , 制程 , RibbonFET , PowerVia , EUV
加强每年创新的节奏,推动从芯片到系统全面领先

英特尔公司今天公布了公司有史以来最详细的制程工艺和封装技术路线图,展示了一系列底层技术创新,这些创新技术将不断驱动从现在到2025年乃至更远未来的新产品开发。除了公布其近十多年来首个全新晶体管架构 RibbonFET 和业界首个全新的背面电能传输网络PowerVia之外,英特尔还重点介绍了迅速采用下一代极紫外光刻(EUV)技术的计划,即高数值孔径(High-NA)EUV。英特尔有望率先获得业界第一台High-NA EUV光刻机。

英特尔公司CEO帕特·基辛格在以“英特尔加速创新”为主题的全球线上发布会中表示:“基于英特尔在先进封装领域毋庸置疑的领先性,我们正在加快制程工艺创新的路线图,以确保到 2025 年制程性能再度领先业界。英特尔正利用我们无可比拟的持续创新的动力,实现从晶体管到系统层面的全面技术进步。在穷尽元素周期表之前,我们将坚持不懈地追寻摩尔定律的脚步,并持续利用硅的神奇力量不断推进创新。”

业界早就意识到,从1997年开始,基于纳米的传统制程节点命名方法,不再与晶体管实际的栅极长度相对应。如今,英特尔为其制程节点引入了全新的命名体系,创建了一个清晰、一致的框架,帮助客户对整个行业的制程节点演进建立一个更准确的认知。随着英特尔代工服务(IFS)的推出,让客户清晰了解情况比以往任何时候都显得更加重要。基辛格说:“今天公布的创新技术不仅有助于英特尔规划产品路线图,同时对我们的代工服务客户也至关重要。业界对英特尔代工服务(IFS)有强烈的兴趣,今天我很高兴我们宣布了首次合作的两位重要客户。英特尔代工服务已扬帆起航!”

英特尔技术专家详述了以下路线图,其中包含新的节点命名和实现每个制程节点的创新技术:

1.        基于 FinFET 晶体管优化,Intel 7与 Intel 10nm SuperFin 相比,每瓦性能将提升约10%-15%。2021年即将推出的Alder Lake客户端产品将会采用Intel 7 工艺,之后是面向数据中心的 Sapphire Rapids预计将于 2022 年第一季度投产。

2.        Intel 4完全采用 EUV 光刻技术,可使用超短波长的光,刻印极微小的图样。凭借每瓦性能约 20% 的提升以及芯片面积的改进,Intel 4 将在 2022 年下半年投产,并于 2023 年出货,这些产品包括面向客户端的 Meteor Lake 和面向数据中心的 Granite Rapids。

3.        Intel 3凭借FinFET 的进一步优化和在更多工序中增加对EUV使用,较之Intel 4将在每瓦性能上实现约18%的提升,在芯片面积上也会有额外改进。 Intel 3将于2023年下半年开始用于相关产品生产。

4.        Intel 20A将凭借RibbonFET和PowerVia两大突破性技术开启埃米时代。RibbonFET 是英特尔对Gate All Around晶体管的实现,它将成为公司自 2011 年率先推出 FinFET 以来的首个全新晶体管架构。该技术加快了晶体管开关速度,同时实现与多鳍结构相同的驱动电流,但占用的空间更小。PowerVia 是英特尔独有的、业界首个背面电能传输网络,通过消除晶圆正面供电布线需求来优化信号传输。Intel 20A 预计将在 2024 年推出。英特尔也很高兴能在Intel 20A 制程工艺技术上,与高通公司进行合作。

5.        2025 年及更远的未来:从Intel 20A更进一步的Intel 18A节点也已在研发中,将于2025年初推出,它将对RibbonFET进行改进,在晶体管性能上实现又一次重大飞跃。英特尔还致力于定义、构建和部署下一代High-NA EUV,有望率先获得业界第一台High-NA EUV光刻机。英特尔正与 ASML 密切合作,确保这一行业突破性技术取得成功,超越当前一代 EUV。

英特尔高级副总裁兼技术开发总经理Ann Kelleher博士表示:“英特尔有着悠久的制程工艺基础性创新的历史,这些创新均驱动了行业的飞跃。我们引领了从90纳米应变硅向45纳米高K金属栅极的过渡,并在22纳米时率先引入FinFET。凭借RibbonFET 和 PowerVia两大开创性技术,Intel 20A 将成为制程技术的另一个分水岭。”

英特尔加速创新:制程工艺和.jpg
英特尔高级副总裁兼技术开发总经理Ann Kelleher博士

随着英特尔全新IDM 2.0战略的实施,封装对于实现摩尔定律的益处变得更加重要。英特尔宣布,AWS 将成为首个使用英特尔代工服务(IFS)封装解决方案的客户。英特尔对领先行业的先进封装路线图提出:

1.        EMIB作为首个 2.5D 嵌入式桥接解决方案将继续引领行业,英特尔自2017年以来一直在出货EMIB产品。Sapphire Rapids 将成为采用EMIB(嵌入式多芯片互连桥接)批量出货的首个英特尔至强数据中心产品。它也将是业界首个提供几乎与单片设计相同性能的,但整合了两个光罩尺寸的器件。继Sapphire Rapids之后,下一代 EMIB的凸点间距将从 55微米缩短至 45微米。

2.        Foveros利用晶圆级封装能力,提供史上首个 3D 堆叠解决方案。Meteor Lake是在客户端产品中实现Foveros技术的第二代部署。该产品具有 36微米的凸点间距,不同晶片可基于多个制程节点,热设计功率范围为 5-125W。

3.        Foveros Omni开创了下一代Foveros技术,通过高性能3D堆叠技术为裸片到裸片的互连和模块化设计提供了无限制的灵活性。Foveros Omni允许裸片分解,将基于不同晶圆制程节点的多个顶片与多个基片混合搭配,预计将于2023年用到量产的产品中。

4.        Foveros Direct实现了向直接铜对铜键合的转变,它可以实现低电阻互连,并使得从晶圆制成到封装开始,两者之间的界限不再那么截然。Foveros Direct 实现了10微米以下的凸点间距,使3D堆叠的互连密度提高了一个数量级,为功能性裸片分区提出了新的概念,这在以前是无法实现的。Foveros Direct 是对 Foveros Omni 的补充,预计也将于 2023年用到量产的产品中。

今天讨论的突破性技术主要在英特尔俄勒冈州和亚利桑那州的工厂开发,这巩固了英特尔作为美国唯一一家同时拥有芯片研发和制造能力的领先企业的地位。此外,这些创新还得益于与美国和欧洲合作伙伴生态系统的紧密合作。深入的合作关系是将基础性创新从实验室研发投入到量产制造的关键,英特尔致力于与各地政府合作,强化供应链,并推动经济和国家安全。

线上发布会快结束的时候,英特尔宣布将举办“Intel Innovation”峰会并公布更多相关细节。“Intel Innovation”峰会将于 2021 年 10 月 27 日至 28 日在旧金山线下和线上举行。更多详细信息,请访问Intel ON 网站。

本文地址:https://www.eechina.com/thread-771190-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表