查看: 6486|回复: 1

[提问] ISE10.1除法器IP核问题,各位大侠帮帮忙,很着急》。。。。

[复制链接]
发表于 2012-5-24 16:01:24 | 显示全部楼层 |阅读模式
关键词: IP核 , ISE , 除法器
我尝试了IP内核中的Math Functions--dividers, 里面有2个IP核,我都试过了,仿真的时候输出总是高阻状态。
我使用的是Xilinx V2PRO, ISE10.1, Verilog语言,采用自带的ISE Simulator仿真器
仿真的时候出现下面这行提示,并且仿真结果也一直处于高阻状态,求求各位大侠帮我解决,真的很着急......

110516210187152cd167a9b6fd.jpg
HDLCompiler:559 - "divider.v" Line 60. Instantiating unknown module DIV_GEN_V1_0
发表于 2012-5-24 16:46:14 | 显示全部楼层
请不要重复发帖
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表