查看: 3455|回复: 0

[提问] 用VHDL语言编写程序

[复制链接]
发表于 2012-11-28 13:03:04 | 显示全部楼层 |阅读模式
关键词: vhdl
  8×8点阵显示设计
系统设计要求:
使用循环扫描方式不间断地显示VHDL四个英文字母。
系统设计方案:
系统使用的是8×8点阵显示,其行列各由8个控制信号组成,采用自顶向下的设计方法,顶层设计采用原理图设计方式,它由控制每个字母显示时间的控制模块,扫描控制模块,显示控制模块组成。
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表