收藏本版 (14) |订阅

供求发布 今日: 0|主题: 33747|排名: 4 

作者 回复/查看 最后发表
[供应] IC:TPS546D24RVFR 转换器,TAS6424QDKQRQ1 放大器,LM73606QRNPRQ1 稳压器 Mingjiada 2024-4-2 081 Mingjiada 2024-4-2 10:46
[供应] CC2640R2FTRGZRQ1无线MCU芯片,XC7Z045-1FFG900I现场可编程门阵列 xingjijinhua 2024-4-2 079 xingjijinhua 2024-4-2 10:27
[供应] 加湿器实现低液位提醒报警方法有哪些 能点科技EPT 2024-4-1 084 能点科技EPT 2024-4-1 17:46
[求购] FLUKE 福禄克FLUKE福禄克-Fluke 317 shangyangfu 2024-4-1 093 shangyangfu 2024-4-1 16:10
[求购] 求购二手仪器安捷伦N4433A矢量网络分析仪 shangyangfu 2024-4-1 057 shangyangfu 2024-4-1 15:19
[供应] AOIP直流电压和电流源SN8310 attach_img acez2023 2024-4-1 078 acez2023 2024-4-1 15:06
[求购] 高价回收二手仪器Agilent4294A 精密阻抗分析仪 shangyangfu 2024-4-1 047 shangyangfu 2024-4-1 15:00
[供应] TEMU跨境电商美国站加湿器合规文件UL988报告办理 attach_img 质科15989521675 2024-4-1 068 质科15989521675 2024-4-1 14:51
[供应] 双向型轮廓仪全面测量分析螺杆参数 attach_img szzhongtu5 2024-4-1 075 szzhongtu5 2024-4-1 13:26
[供应] 激光干涉仪80米直测,诊断校准运动导轨 attach_img szzhongtu5 2024-4-1 072 szzhongtu5 2024-4-1 13:24
[供应] 影像仪激光扫描功能,无缝连接2D/3D混合测量 attach_img szzhongtu5 2024-4-1 075 szzhongtu5 2024-4-1 11:49
[供应] 闪测仪,一键自动批量测量尺寸 attach_img szzhongtu5 2024-4-1 054 szzhongtu5 2024-4-1 11:47
[供应] 供求IC:PCF8574T/3 [I/O 扩展器],PIC16F616T-E/SL [微控制器],SRC4190IDBR [转换器] Mingjiada 2024-4-1 066 Mingjiada 2024-4-1 10:50
[供应] NCV7708FDWR2G半桥驱动器,88Q5050-B0-LKJ2A000,88Q5030-B0-LKJ2A000以太网交换机 xingjijinhua 2024-4-1 064 xingjijinhua 2024-4-1 10:26
[供应] ETA9740 三合一移动电源芯片 3A充电电流2.4A升压输出 qazwsxedc3344 2024-3-30 074 qazwsxedc3344 2024-3-30 17:22
[供应] ETA9742三合一移动电源芯片 封装ESOP8 2.1A充电电流2.4A升压 qazwsxedc3344 2024-3-30 087 qazwsxedc3344 2024-3-30 17:20
[供应] 钰泰ETA9881E10 ETA9880E8A 带NTC能和BC1.1.2功能,替代IP5306/IP5407 qazwsxedc3344 2024-3-30 074 qazwsxedc3344 2024-3-30 17:19
[供应] 如何选择合适的水位传感器检测水箱水位 能点科技EPT 2024-3-30 080 能点科技EPT 2024-3-30 14:55
[供应] 见证实力 | 走进飞凌嵌入式研发实验室 繁花之语 2024-3-30 073 繁花之语 2024-3-30 13:18
[供应] 器件:FS32R294KCK0MJDR,TEF8105EN/N1,M95256-DRMF3TG/K,MIMX8UX6AVOFZAC【汽车芯片】 Mingjiada 2024-3-30 078 Mingjiada 2024-3-30 11:02
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
返回顶部 返回版块