查看: 3328|回复: 0

[提问] 用VHDL语言编写程序

[复制链接]
发表于 2012-11-28 12:47:11 | 显示全部楼层 |阅读模式
汽车尾灯控制器的设计

系统设计要求:
假设汽车尾部左右两侧各有3盏指示灯,其控制功能包括:
(1)汽车正常行驶时指示灯都不亮。
(2)汽车右转弯时,右侧的一盏指示灯(RD1)闪烁。
(3)汽车左转弯时,左侧的一盏指示灯(LD1)闪烁。
(4)汽车刹车时,左右两侧的一盏指示灯(RD2,LD2)同时亮。
(5)汽车在夜间行使时,左右两侧的一盏指示灯(RD3,LD3)同时一直亮,供照明使用。

系统设计方案:
根据系统设计要求,采用自顶向下的设计方法,顶层设计采用原理图设计方式,它由汽车尾灯主控模块,左边灯控制模块、右边灯控制模块组成。
拨码开关作为系统的控制信号,发光二极管模拟6个汽车尾灯。
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表