搜索
热门关键词:
Atmel
Marvell
CEVA
运算放大器
电路
手机版
官方微博
微信公众号
登录
|
免费注册
首页
新闻
新品
文章
下载
电路
问答
视频
职场
杂谈
会展
工具
博客
论坛
在线研讨会
技术频道:
单片机/处理器
FPGA
软件/编程
电源技术
模拟电子
PCB设计
测试测量
MEMS
系统设计
无源/分立器件
音频/视频/显示
应用频道:
消费电子
工业/测控
汽车电子
通信/网络
医疗电子
机器人
x
x
当前位置:
EEChina首页
›
FPGA/CPLD
›
文章
明德扬至简设计法--分享一份实现矩阵键盘的verilog代码 可直接使用
发布时间:2017-2-21 12:00 发布者:
mdykj33
关键词:
明德扬
,
verilog
,
至简设计法
,
矩阵键盘
,
verilog代码
`define SCAN
module key_scan(
clk ,
rst_n ,
key_col, //键盘列输入
key_row, //键盘行输出
key_num, //指示哪一个按键按下,用0~15指示
key_vld //按下有效指示信号,其为1表示按下一次。
);
parameter KEY_W = 4 ;
parameter COL = 0 ;
parameter ROW = 1 ;
parameter DLY = 2 ;
parameter FIN = 3 ;
parameter COL_CNT = 16;
parameter TIME_20MS= 1000000;
//输入信号定义
input clk ;
input rst_n ;
input [3:0] key_col;
//输出信号定义
output key_vld;
output[3:0] key_num;
output[KEY_W-1:0] key_row;
//输出信号reg定义
reg [3:0] key_num;
reg [KEY_W-1:0] key_row;
reg key_vld;
reg [ 3:0] key_col_ff0 ;
reg [ 3:0] key_col_ff1 ;
reg [ 1:0] key_col_get ;
reg shake_flag ;
reg shake_flag_ff0;
reg [ 3:0] state_c ;
reg [19:0] shake_cnt ;
reg [ 3:0] state_n ;
reg [ 1:0] row_index ;
reg [15:0] row_cnt ;
reg [ 2:0] x ;
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
key_col_ff0 <= 4'b1111;
key_col_ff1 <= 4'b1111;
end
else begin
key_col_ff0 <= key_col ;
key_col_ff1 <= key_col_ff0;
end
end
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
shake_cnt <= 0;
end
else if(add_shake_cnt)begin
if(end_shake_cnt)
shake_cnt <= 0;
else
shake_cnt <= shake_cnt + 1;
end
else begin
shake_cnt <= 0;
end
end
assign add_shake_cnt = key_col_ff1!=4'hf && shake_flag==0;
assign end_shake_cnt = add_shake_cnt && shake_cnt==TIME_20MS-1;
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
shake_flag <= 0;
end
else if(end_shake_cnt) begin
shake_flag <= 1'b1;
end
else if(key_col_ff1==4'hf) begin
shake_flag <= 1'b0;
end
end
`ifdef SCAN
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
state_c <= COL;
end
else begin
state_c <= state_n;
end
end
always @(*)begin
case(state_c)
COL: begin
if(col2row_start)begin
state_n = ROW;
end
else begin
state_n = state_c;
end
end
ROW: begin
if(row2dly_start)begin
state_n = DLY;
end
else begin
state_n = state_c;
end
end
DLY : begin
if(dly2fin_start)begin
state_n = FIN;
end
else begin
state_n = state_c;
end
end
FIN: begin
if(fin2col_start)begin
state_n = COL;
end
else begin
state_n = state_c;
end
end
default: state_n = COL;
endcase
end
assign col2row_start = state_c==COL && end_shake_cnt;
assign row2dly_start = state_c==ROW && end_row_index;
assign dly2fin_start = state_c==DLY && end_row_index;
assign fin2col_start = state_c==FIN && key_col_ff1==4'hf;
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
key_row <= 4'b0;
end
else if(state_c==ROW)begin
key_row <= ~(1'b1 << row_index);
end
else begin
key_row <= 4'b0;
end
end
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
row_cnt <= 0;
end
else if(add_row_cnt) begin
if(end_row_cnt)
row_cnt <= 0;
else
row_cnt <= row_cnt + 1;
end
end
assign add_row_cnt = state_c==ROW || state_c==DLY;
assign end_row_cnt = add_row_cnt && row_cnt==COL_CNT-1;
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
row_index <= 0;
end
else if(add_row_index) begin
if(end_row_index)
row_index <= 0;
else
row_index <= row_index + 1;
end
end
assign add_row_index = end_row_cnt;
assign end_row_index = add_row_index && row_index==x-1;
always @(*)begin
if(state_c==ROW)
x = 4;
else
x = 1;
end
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
key_col_get <= 0;
end
else if(col2row_start) begin
if(key_col_ff1==4'b1110)
key_col_get <= 0;
else if(key_col_ff1==4'b1101)
key_col_get <= 1;
else if(key_col_ff1==4'b1011)
key_col_get <= 2;
else
key_col_get <= 3;
end
end
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
key_num <= 0;
end
else if(state_c==ROW && end_row_cnt)begin
key_num <= {row_index,key_col_get};
end
else begin
key_num <= 0;
end
end
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
key_vld <= 1'b0;
end
else if(state_c==ROW && end_row_cnt && key_col_ff1[key_col_get]==1'b0)begin
key_vld <= 1'b1;
end
else begin
key_vld <= 1'b0;
end
end
`else
always @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
key_vld <= 0;
end
else begin
key_vld <= end_shake_cnt;
end
end
always @(*)begin
key_num = 0;
end
`endif
endmodule
复制代码
本文地址:
https://www.eechina.com/thread-354409-1-1.html
【打印本页】
本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
相关文章
现代数字逻辑设计资料
VHDL和Verilog两种语言的具体比较
明德扬fpga公开课系列视频,长期更新!!
明德扬公开课《FPGA中原码、补码和小数的运算》录播视频
本次公开课主题:FPGA中原码、补码和小数的运算
FPGA-全网公开课-第02期录播-算法的verilog实现-fir滤波器
矩阵键盘原理剖析
至简设计法经典案例2 1241003385
FPGA至简设计法案例4 【12401003385】
网友评论
高级模式
B
Color
Image
Link
Quote
Code
Smilies
您需要登录后才可以发表评论
登录
|
立即注册
发表评论
贸泽电子有奖问答视频,答对领10元微信红包
厂商推荐
Microchip视频专区
EtherCAT®和Microchip LAN925x从站控制器介绍培训教程
MPLAB®模拟设计器——在线电源解决方案,加速设计
让您的模拟设计灵感,化为触手可及的现实
深度体验Microchip自动辅助驾驶应用方案——2025巡展开启报名!
贸泽电子(Mouser)专区
相关视频
VerilogHDL教程(一)
8866
VerilogHDL教程(二)
8190
VerilogHDL教程(三)
8092
VerilogHDL教程(五)
7947
VerilogHDL教程(四)
7925
关于我们
-
服务条款
-
使用指南
-
站点地图
-
友情链接
-
联系我们
电子工程网
© 版权所有
京ICP备16069177号
| 京公网安备11010502021702
快速回复
返回顶部
返回列表
网友评论