德州仪器 C2000 Piccolo F2802x MCU保护电机控制系统并提高效率

发布时间:2012-8-24 15:42    发布者:eechina
关键词: C2000 , Piccolo , 微控制器 , MCU
该32 位微控制器具有小型封装集成以及稳健的软硬件产业环境,可简化大型家用电器以及工业应用的开发

德州仪器 (TI) 宣布其 Piccolo 微控制器可为实时电机控制应用实现最高价值,为家用电器、工业纺织设备以及压缩机等通常无法降低相关成本的应用实现 32 位实时控制。C2000 Piccolo TMS320F2802x 微控制器在支持更高电源效率与系统保护的同时,还提供高度集成的片上模拟硬件。这些器件具有只有 38 个引脚的小尺寸,支持架构增强以及改进的外设,不仅单个芯片可取代多个电子组件,而且还可为电机控制应用实现高级电源管理

加强系统保护

除了一个 12 位模数转换器 (ADC) 外,Piccolo F2802x 微控制器还集成各种模拟比较器,可帮助设计人员实现功率级管理,为电机控制及数字电源系统提供系统保护。内部比较器可帮助设计人员实施无缝关闭所选脉宽调制通道或触发其它事件的工作,无需外部复杂断层管理电路成本。

新增电源转换及低成本电池充电技术

Piccolo F2802x 微控制器还集成高分辨率的增强型脉宽调制器 (ePWM),可通过一个器件实现高效率电源转换与电机控制功能。开发人员不但可通过太阳能或感应为其电机控制应用新增低成本电池充电功能,而且还支持极高性能的工作功率因数校正 (PFC),在二级 DC-DC 转换阶段实施峰值电流模式控制 (PCMC) 的内部斜率补偿。

Piccolo F2802x 微控制器系统的特性与优势:
•        高性能、低功耗的 32 位 CPU (TMS320C28x):静态 CMOS 技术采用 38 引脚封装提供 60 MHz(16.67 ns 循环时间)性能与 3.3V 单电源,有助于集成电机与实时数字控制功能;
•        高度的模拟集成:12 位 ADC、ePWM、内部比较器、闪存以及 RAM 存储器可增加系统保护;减少电子组件数量,支持更小、更快的电源电子产品以及更低的材料成本;
•        工具:最新 C2000 LaunchPad 以及 C2000 controlCARD、实验板及专用开发套件可帮助开发人员便捷地启动基于 Piccolo F2802x 微控制器的开发,如高电压数字电源、LED 照明、太阳能以及电机控制等。这些硬件工具可帮助开发人员采用各种不同的 C2000 微控制器进行试验,充分满足其高性价比以及外设的需求;
•        软件产业环境:C2000 controlSUITE™ 软件提供简单易用的免费开源演示 GUI、应用软件示例、闪存 API、光绘文件以及硬件文件及文档。图形编程支持通过VisSim 软件视觉解决方案提供。此外,每个器件都提供 TI 基于 Eclipse 的有限版 Code Composer Studio v5 集成型开发环境;
•        支持:全球实际操作培训专题讨论会、在线培训以及通过TI 在线技术支持社区 提供的全天候支持,可使设计变得非常简单。
       
供货情况

具有各种配置的 Piccolo F2802x 微控制器现已开始供货,可为设计实现高度的灵活性。同步提供的各种开发工具包括:C2000 LaunchPad、controlCARD (TMDSCNCD28027) 以及实验板套件 (TMDSDOCK28027)。controlSUITE 软件可立即免费获得。此外,几款电机控制及数字电源开发套件现在也已开始提供。

通过以下链接查阅有关 TI C2000 解决方案的更多详情:
•        有关 Piccolo 微控制器的培训:http://www.ti.com.cn/lsds/ti_zh/ ... c2000/training.page
•        C2000 LaunchPad:http://www.ti.com.cn/tool/cn/launchxl-f28027
•        controlSUITE 软件:http://www.ti.com.cn/lsds/ti_zh/ ... c2000/software.page
•        TI 微控制器产品系列:http://www.ti.com.cn/lsds/ti_zh/microcontroller/home.page

本文地址:https://www.eechina.com/thread-96305-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表