System Verilog 语言参考手册

发布时间:2012-3-19 16:34    发布者:诸葛孔明
关键词: System , verilog
简介

Verilog-2001具有静态和自动的任务和函数。在一个模块实例中,会为一个任务或函数的所有调用分配相同的存储空间。自动的任务和函数则为每一个实例分配唯一的、栈式存储空间。  
SystemVerilog加入了在静态任务和函数中声明自动变量以及在自动任务和函数中声明静态变量的能力。  
SystemVerilog还加入了:

为声明任务和函数端口提供了更多的能力函数和输出和双向端口void函数无需一个begin...end块或fork...jion块就可以在一个任务或函数中使用多条语句的能力在到达任务或函数的结尾之前从任务或函数返回的能力通过引用而不是值来传递参数的能力根据名字而不是位置来传递参数值得能力缺省的参数值通过直接编程接口(DPI)导入和导出函数的能力

下载: system verilog 中文.rar (2.16 MB)
本文地址:https://www.eechina.com/thread-87479-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
rinllow6 发表于 2012-3-20 13:33:28
谢谢!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
lmxstar 发表于 2012-4-16 22:23:30
好好学习 多挣工分
汉江之源 发表于 2012-12-15 10:36:16
3看看,学习谢谢··
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表