玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制

发布时间:2019-10-12 17:44    发布者:rousong1989
玩转Zynq连载31——[ex53] 基于Zynq PSEMIO控制
更多资料共享
腾讯微云链接:https://share.weiyun.com/5s6bA0s
百度网盘链接:https://pan.baidu.com/s/1XTQtP5LZAedkCwQtllAEyw
提取码:ld9c
1.jpg
1 ZynqGPIO概述
         参考文档《玩转Zynq-基础篇:Zynq PSGPIO外设.pdf》。
         关于EMIOMIO,这里多啰嗦两句。简单的理解,MIOPS系统原生的,和PL没啥关系;但是EMIOPSPL的引脚用,所以它们就有千丝万缕的关系,PL的工程里面势必要做点什么。MIOPS系统里面分配好对应的信号功能后,在PL的代码里面就不需要写任何的逻辑,甚至引脚分配都不需要(生成的PS系统会自动分配)。但是EMIO就要在PS的系统中引出,然后在PL顶层源码中申明端口类型,做引脚分配(因为EMIO用的就是PL的引脚)。
         关于MIOEMIO的关系,更形象直接的可以示意如图所示。MIOEMIO都是PS的一部分,但是MIO可以直接连接到Zynq芯片的引脚上,和PL无关;而EMIO需要通过PLIO才能连接到Zynq芯片的引脚上。EMIO的存在,其实是给用户更大的PSGPIO扩展的灵活性,这也是FPGA+ARM独有的架构。因此,EMIO怎么用,很有必要好好玩玩。 2.jpg
2 EMIOPS系统配置
         打开ZYNQ7 Processing System的配置页面Peripheral I/O Pins,勾选GPIO EMIO选项,查看Periperals最右侧的EMIO列,对应的EMIO显示按钮变绿了,则表示该EMIO功能开启,EMIO引脚将会引出到PS系统。 3.jpg
         完成配置后,回到ZYNQ7系统框图中,可以看到多了一个名为GPIO_0的接口。 4.jpg
         展开GPIO_0接口,实际上有364bit的信号,分别代表inputGPIO_I)、outputGPIO_O)和inoutGPIO_T)。在实际使用中,根据我们的引脚方向,连接对应位的引脚即可。例如,GPIO_I[0]GPIO_O[0]GPIO_T[0]实际上对应的都是一个EMIO,如果我只用这个EMIO连接一个作为outputLED,那么我在PL上只要引出GPIO_O[0]并作引脚分配就可以了。 5.jpg
         选中GPIO_0,右键单击,弹出菜单中点击Create Interface Port...,引出一个port用于PL工程的顶层连接。 6.jpg
         可以命名为GPIO_EMIO 7.jpg
         完成GPIO_EMIO引出后如图所示。 8.jpg
3 EMIOPL的使用和引脚分配
         Sources --> IP Sources中选择Block Designs -->zstar_zynq_ps,单击右键,弹出菜单中选中Generate Output Products... 9.jpg
         接着我们可以再次操作,单击右键菜单的Create HDL Wrapper...选项。 10.jpg
         打开后,看到PS系统的例化部分如图所示,可以复制到我们的新建的顶层源码中,在顶层源码中重新设置接口映射。 11.jpg
         在实例zstar_ex52中,我们直接使用PS系统生成的zstar_zynq_ps_wrapper.v模块做顶层模块,但是这样的方式其实对于含有PL代码的工程来说灵活性不够好。我们更建议大家把这个zstar_zynq_ps_wrapper.v模块里面例化的PS系统例化到我们自己为PL工程专门新建的顶层源码中,在这个顶层源码中,我们也可以例化其它的PL逻辑模块。
         废话说了,先新建一个zstar.vVerilog模块,然后做如下编辑。 12.jpg
         若要将新建的zstar.v模块作为PL工程的顶层模块,需要先选中zstar.v模块,右键单击选中菜单项Set as Top 13.jpg
         同时需要打开Sources --> Constraints - constrs_1下的约束文件zstar.xdc,增加led[2:0]的引脚约束。 14.jpg
4导出PS硬件配置和新建SDK工程
         参考文档《玩转Zynq-工具篇:导出PS硬件配置和新建SDK工程.pdf》。
         SDK中,新建Empty的模板工程,名称为GPIO_EMIO_project
5 EMIO控制编程
         展开新建的工程GPIO_EMIO_project,选中文件夹src,单击右键,弹出菜单中选择New --> Source File
         新建一个名为main.cDefault C source template源文件。
         输入EMIO控制的bit2-0引脚的程序,程序中实现EMIO0EMIO1EMIO2循环拉高,逐次点亮3LED中的1个。 15.jpg
4 板级调试
         Zstar板子上,设置跳线帽P3JTAG模式,即PIN2-3短接。
         连接好串口线(USB线连接PCUSB端口和Zstar板的UART接口)和Xilinx下载线(下载器连接PCUSB端口和Zstar板的JTAG插座)。使用5V电源给板子供电。
         接着参考《玩转Zynq-工具篇:SDK在线运行裸跑程序.pdf》将zstar.bit文件和GPIO_EMIO_project.elf文件烧录到Zynq中运行起来。
         程序运行起来后,我们就可以看到Zstar板上PL侧的3LED指示灯D3D2D1逐个闪烁起来。
16.jpg
腾讯微云链接:https://share.weiyun.com/5s6bA0s
百度网盘链接:https://pan.baidu.com/s/1XTQtP5LZAedkCwQtllAEyw
提取码:ld9c
本文地址:https://www.eechina.com/thread-569597-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表