查看: 5226|回复: 10

[提问] vhdl PROCESS 的问题

[复制链接]
发表于 2010-11-19 22:35:13 | 显示全部楼层 |阅读模式
关键词: process , VHDL
用PROCESS老是报错,有何诀窍呢?
请大家告知
发表于 2010-11-21 09:17:39 | 显示全部楼层

帮你顶
发表于 2010-11-29 22:57:38 | 显示全部楼层
注意后面更信号敏感量
发表于 2010-11-29 22:58:25 | 显示全部楼层
注意后面更信号敏感量
同时process里面的语句是顺序执行的
发表于 2010-12-14 10:35:33 | 显示全部楼层
能说得详细点吗?就这样怎么来判断啊
发表于 2010-12-15 11:31:29 | 显示全部楼层
具体问题具体分析呀。
发表于 2010-12-25 14:18:24 | 显示全部楼层
把错误信息贴出来,好解答
发表于 2010-12-28 17:08:24 | 显示全部楼层
注意敏感性列表,和进程中是顺序执行语句就可以了
发表于 2011-4-21 22:14:52 | 显示全部楼层
少个标点符号都不行 得仔细
发表于 2011-5-7 18:20:10 | 显示全部楼层
????
发表于 2013-9-6 10:10:25 | 显示全部楼层
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表