基于VHDL的彩灯控制

发布时间:2010-8-5 10:07    发布者:lavida
关键词: VHDL , 彩灯 , 仿真
随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用;用在舞台上增强晚会灯光效果。对动态灯光实时控制的装置很多,如电脑编程4路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯光控制系统。这些控制装置均运用计算机技术、电子技术和声光技术,对被控灯光系统按设定的变化方案进行亮、灭灯控制,形成各种灯光图案,有时还配以和谐的音乐,达到令人叹为观止的光、声、色的综合艺术效果。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA(ElectronicDesign Automatic)技术的应用引起电子产品及系统开发的革命性变革。VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛。设计者的原始描述是非常简练的硬件描述,经过EDA工具综合处理,最终生成付诸生产的电路描述或版图参数描述的工艺文件。整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。下面介绍在美国ALTERA公司的MAX+PLUSⅡ平台上使用VHDL实现的彩灯控制电路。  

1 一种简单的控制电路--VHDL编程彩灯控制电路

(1)由于CPLD(复杂可编程逻辑器件)/FPGA(现场可编程门阵列)可以重复无数次编程,在电路不做改动的情况下,只需改变程序就可以灵活地调整彩灯图案和变化方式,给灯光的变化带来很大的方便。在电路中,如果以1代表亮灯,以0代表灭灯,由0,1按不同的规律组合代表不同的灯光图案晚会彩灯控制。以8灯为例,该电路能使彩灯依次闪亮,呈现出流水的效果。  

(2)花样为彩灯1~8从右到左逐次点亮,又从左到右逐次点亮,全灭,全亮,循环往复。修改信号S的位数为5,输出变量Q的1,0组合如下改变。

2 四花样自动切换的彩灯控制器

在电子技术实验教学中,笔者设计了一种4花样自动切换的彩灯控制器。彩灯控制器的第1种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮,第2种花样为彩灯两边同时亮1个逐次向中间移动再散开;第3种花样为彩灯两边同时亮2个逐次向中间移动再散开;第4种花样为彩灯两边同时亮3个,然后4亮4灭,4灭4亮,最后1灭1亮。多个花样自动变换,循环往复。时间间隔与前面一样只修改输出变量Q的0,1组合即可。  

3 结语

如果灯的颜色多种多样则可以很方便的组成多种漂亮的方案。例如某晚会用红绿黄3种彩灯采光,3组灯亮的顺序是:红灯亮—绿灯亮—黄灯亮—红绿灯亮—绿黄灯亮—黄红灯亮—全亮—全暗。重复以上过程。可以很方便地设计这3组彩灯的控制电路。在这里就不设计了。仿真正确后通过下载电缆下载到CPLD/FPGA芯片上即可。芯片可以重复使用无数次。只要拥有计算机,配上相应的软件就可以随心所欲的改变彩灯的图案,而且不受时间的限制。
本文地址:https://www.eechina.com/thread-19355-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表