Altera发布新版Quartus Prime设计软件,延续了设计性能和效能的领先优势

发布时间:2015-11-9 10:56    发布者:eechina
关键词: Quartus , Spectra-Q , FPGA
Quartus Prime设计软件架构有效的增强了新一代可编程器件硬件性能,提高了资源利用率  

Altera公司发布Quartus Prime设计软件,标志着新一代可编程逻辑器件设计效能新时代的来临。Altera新的软件环境构建在公司成熟可靠而且用户友好的Quartus II软件基础上,采用了新的高效能Spectra-Q引擎。新的Quartus Prime设计软件经过优化,减少了设计迭代,其编译时间是业界最快的,提高了硅片性能,从而增强了FPGASoC FPGA设计过程。

Altera软件和IP营销资深总监Alex Grbic说:“我们的软件工具性能和效能在业界都是最好的,广受赞誉。Quartus Prime设计软件历经数十年的软件创新,帮助我们的客户提高了可编程逻辑器件的性能和效能,延续了Altera的领先优势。”

Quartus Prime设计软件用户将体验到与以前软件版本同样的使用方便的前端用户界面;而在后端增加了Spectra-Q引擎,采用一组更快、更易于扩展的新算法,前所未有的缩短了编译时间,提高了设计性能。这一引擎还具有分层数据库,保留了IP模块的布局布线,保证了设计的稳定性,同时避免了不必要的时序收敛投入,缩短了编译时间。关于Spectra-Q引擎的更多信息,请访问www.altera.com.cn/spectraq。  

与早期试用客户一起,Quartus Prime设计软件在多个Arria 10设计上展示了极高的设计性能和设计人员效能。随着Quartus Prime设计软件15.1版的发布,面向Arria 10设计的客户将体验到:

•    采用新的混合布局器和全局布线器算法,比以前的软件版本平均高出一个全速率等级。
•    采用新的BluePrint平台设计者工具,IO设计速度提高了10倍。
•    使用软件新的快速重新编译特性,编译时间缩短了4倍。
•    扩展硬件描述语言支持,包括了SystemVerilog-2005和VHDL-2008。

关于Quartus Prime设计软件15.1版的详细信息,请访问www.altera.com.cn/whatsnew

Quartus Prime设计软件许可模型

根据客户的设计需求,Quartus Prime设计软件提供三种版本。Quartus Prime Pro版提供最新的性能和效能工具,支持Altera最新的高性能FPGA和SoC FPGA。Quartus Prime标准版支持Altera新产品类中的器件,Quartus Prime Lite版支持Altera的大批量器件系列。Pro和标准版需要年度软件许可,而Lite版可以免费下载,不需要许可文件。

使用Altera广泛的IP辅助支持系统

Quartus Prime设计软件支持用户使用Altera丰富的知识产权(IP)内核。最新软件版本中新增特性和增强功能包括,四个前向纠错码(FEC) DSP内核、低延时10G以太网MAC和1G/2.5G/10G多速率以太网PHY新的2.5G动态速率修改选项,还增强了外部存储器接口IP的可用性。还包括了新的动态生成和可配置的硬件设计实例,简化了IP的硬件评估,进一步提高了设计人员的效能。关于Altera IP解决方案的详细信息,请访问“IP新增特性”网页。

供货信息和价格

现在可以下载Quartus Prime设计软件。Quartus Prime Pro和标准版与ModelSim®-Altera入门版软件一起提供,为IP基本套装提供完整的许可。一个节点锁定的PC许可年度软件许可的价格为2,995美元,可以通过Altera eStore购买。

本文地址:https://www.eechina.com/thread-155654-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表