勇敢的芯伴你玩转Altera FPGA连载17:UART接口电路

发布时间:2017-10-28 20:11    发布者:rousong1989
勇敢的芯伴你玩转Altera FPGA连载17UART接口电路
特权同学,版权所有
配套例程和更多资料下载链接:
http://pan.baidu.com/s/1i5LMUUD
1.jpg
    FPGA与UART外设连接如图2.17所示。FPGA器件通过UART转USB芯片PL2303将标准的UART协议转换为USB协议,在PC端安装驱动后,便是一个虚拟串口实现UART的传输。
2.jpg
图2.17 FPGA与UART外设连接示意图
    如图2.18所示,UART最终通过这个USB接口与PC连接,建立起虚拟串口通信。
3.jpg
图2.18 USB接口示意图
    如表2.3所示,这是FPGA与UART转USB芯片的引脚信号定义。
表2.3 FPGA与UART转USB芯片引脚信号定义
  
信号名
  
方向
功能描述
UART_TX
Output
UART发送信号。
UART_RX
Input
UART接收信号。
注:方向是针对FPGA器件而言的。

本文地址:https://www.eechina.com/thread-518638-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表