FPGA引脚信号指配的几个原则

发布时间:2010-11-8 11:00    发布者:eetech
关键词: FPGA , 信号 , 引脚 , 原则 , 指配
现在的FPGA正变得越来越复杂,向引脚分配信号的任务曾经很简单,现在也变得相当繁复。下面这些用于向多用途引脚信号指配的指导方针有助于设计师根据最多到最少的约束信号指配原则提前考虑信号指配,并减少反复的次数。
这里有一个前提,即假定设计师已经根据设计的大概规模和信号要求确定了目标器件范围和型号。对以下每一步都应在考虑单极信号前优先考虑差分对信号。

最先指配那些只能在特定引脚上工作的特殊信号,正常情况下是指串行I/O信号和全局时钟信号。其次指配大型和/或高速信号总线,特别是那些要跨越多个库或区域的信号。如果总线需要局部时钟,那么就要考虑具有更多局部时钟引脚的库或区域,并先指配局部时钟。

如果针对FPGA器件采用了多种I/O标准,那么设计师还必须先考虑将I/O信号映射到库/区。这一步需要慎重考虑,因为许多I/O标准和参考电压是不兼容的。一些I/O标准要求在特殊引脚上输入参考电压,使得这些引脚不可再用于一般用途。将高速输出和双向信号分开指配在一定程度上可避免同时开关输出噪声(SSO)问题。

第三,采用第二步中相同的基本规则指配速度较慢和约束较少的总线,但不用太多考虑SSO等问题。第四,最后完成个别信号的指配。如果只剩下少量引脚,或在第一次反复时用完了所有的引脚,可以考虑选用具有更多I/O数量的下一种器件,因为根据市场情况肯定还会临时增加某些功能,而且没有哪个设计师愿意在设计的最后阶段再做一遍指配过程吧。

在以上每一步中,要建立含有正确信号分配和I/O标准的约束文件,以及含有I/O设计部分的HDL文件。然后再开始布局和布线,因为按从最多约束信号到最少约束信号的顺序可以更好地发现错误。
本文地址:https://www.eechina.com/thread-37244-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关在线工具

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表