基于CPLD的数字滤波抗干扰电路设计

发布时间:2010-9-17 10:26    发布者:techshare
关键词: CPLD , 抗干扰 , 数字滤波
红外密集度光电立靶测试系统是一种用于测量低伸弹道武器射击密集度的新型的测试系统,它既可用于金属弹丸的测试,又可测试非金属弹丸,具有反映灵敏、精度高而稳定、操作简单、容易维护等优点,已被许多靶场投入使用。

光电靶的基本原理是:当光幕内的光通量发生足够大的变化时,光电传感器会响应这种变化而产生电信号。这就是说,一些非弹丸物体在穿过光幕时也会使光幕内的光通量发生变化以使光电传感器产生电信号。从原理上讲。这种现象并非异常,而从测试来讲,则属于干扰。在具体靶场测试中,当干扰严重时,可能会导致测试无法进行,从而给测试工作带来困难。因此,如何排除干扰,保证系统的正常运行,是一个必须解决的问题。

红外密集度光电立靶测试系统在使用中会受到各种干扰,其中影响最大的有三种:一是“蚊虫”干扰,即指蚊虫等低速物体飞过红外光幕时引起的误触发现象;二是“冲击波”干扰,指在亚音速弹丸测试中,由于音速高于弹速使得声波先于弹丸到达光幕而引起的误触发现象:三是一些伴随弹丸穿过光幕的细小物体和外界光线的变化所引起光幕内光通量的变化而产生的干扰信号,但这种信号幅值一般都较小。

1 理论分析

光电靶在工作时,光电传感器会响应光幕内光通量的变化,并将其转变为微弱的电信号,经放大后进入电压比较器。当其幅值高于预定基准时,则电压比较器翻转,以产生触发脉冲。

由于随弹丸穿过光幕的细小物体和外界光线变化产生的信号幅值比较小,因此,通过对电压比较器设置合适的比较门限便可滤除这种信号。在靶厂实际测试中,这种干扰信号幅值一般小于0.8 V,这样,只要在电路中将电压比较器的门限电平设为0.8 V便可消除这种干扰。

根据光电靶的工作原理,穿过光幕的飞行物体速度不同,遮挡光幕的时间就不同,电路中比较器所产生的方波脉冲的宽度也就不同。与弹丸相比,蚊虫的飞行速度要低得多,当蚊虫穿过光幕时,产生的方波脉冲的宽度要比弹丸产生的宽;而在亚音速弹测试中,弹丸速度低于声速,这样,由声波引起的脉冲宽度将小于弹丸产生的方波脉冲宽度。因此,从原理上说,在比较器后利用滤波电路来滤除干扰信号是有可能的。

2 利用CPLD实现滤波及抗干扰

本文所给出的电路的主要功能是抗冲击波和蚊虫干扰,并把有效弹丸信号变成脉冲宽度为50μs的信号,然后输出到下级电路进行处理。设计中采用的芯片是MAX7000系列的EPM7128SLC84-15芯片。下面就如何实现滤波和抗干扰作以详细介绍。

2.1 电路原理

物体穿过光幕时所产生的方波脉冲宽度可用下式计算:



式中,ι为飞行物的长度,d为光幕面的厚度,υ为飞行物的速度。若冲击波以声速计算(υ为340 m/s),d=3 mm,则冲击波穿过光幕所产生的方波信号脉冲宽度约为8.8μs;若υ为330 m/s,则t1约等于9.1μs。若蚊虫等飞行物飞行速度υ为20 m/s。物体长度ι大约为10 mm,则蚊虫飞过光幕产生的方波信号脉冲宽度t2约为650μs。一般情况下,红外密集度立靶测试系统所测试的弹丸弹速范围为200~1200 m/s,主要是5.8 mm、7.62mm、9 mm三种弹,冲击波的影响主要产生于对9x19 mm的手枪弹的测量,该弹丸弹速约为320m/s。根据弹速和弹长可知,弹丸穿过光幕产生的方波信号脉冲宽度t3为37.5μs。

根据靶场实际测试情况,弹丸穿过光幕时产生的方波信号脉冲宽度基本都小于150μs且大于10μs,故可认为,脉冲宽度大于150μs和小于l0μs的信号为无效信号,应进行剔除,这样就可将蚊虫干扰信号和冲击波信号滤除,从而达到抗干扰的目的。

2.2 抗冲击波电路

图1所示是该系统中的冲击波滤除电路。图2是其仿真波形。图中,当PULSE_IN端出现一个正跳变时,上跳沿使得触发器Dl的输出端产生一个高电平信号,以启动计数器开始计数。计数器计满后便在输出端产生一个正跳变,该上升沿又使触发器D3的输出端产生一个高电平信号。将这两个信号相与便可得到输出信号PULSE_OUT1。而PULSE_IN的下降沿到来时,系统又会将计数器和三个触发器同时清零,以等待下一个信号到来。由仿真波形图可知,当PULSE_IN的脉宽小于设定计时宽度时,便可认为是干扰信号并使PULSE_OUT1为低;而当PULSE_IN的脉宽大于设定计时宽度且仍为高时,则认为信号有效。PULSE_OUT1为高时,它在PULSE_IN的下降沿变为低电平,以等待下一信号到来。






2.3 抗蚊虫干扰电路

图3和图4分别是该系统的抗蚊虫干扰电路及其仿真波形。图中,当PULSE_OUT1有一个正跳变时,计数器开始计数,计数器计满则在cout端产生一个正跳变,并经反相后加在触发器D4的输入端,当PULSE_OUT1的下降沿到来时,触发器D4的输出端仍输出低电平信号,输出信号PULSE_OUT2为低,此时若PULSE_OUT1的脉冲宽度大于等于计数器计时宽度,PULSE_OUT2端输出低电平;若计数器未满,则cout端将不会有上升沿,触发器D4的输入端为高,并使PULSE_OUT1的下降沿触发器D4的输出端为高,同时,输出信号PULSE_OUT2为高。而当PULSE_OUT1的脉冲宽度小于计数器计时宽度时,PULSE_OUT2端输出高电平,同时在PULSE_OUT1上升沿到来时,触发器D6的输出端输出高电平并经反相器后将触发器D4和D6同时清零,以等待下一信号的到来。



2.4 脉宽设定电路

为了保证弹丸穿过光幕所产生的脉冲信号能够适合后续处理电路的需要,本设计将弹丸穿过光幕产生的脉冲信号全部变为脉宽为50μs的脉冲信号再输出给后续电路。图5和图6分别是其脉宽设定电路及其仿真波形图。图中,当PULSE_OUT2有一个正跳变时,触发器D7的输出端输出高电平并启动计数器。当计数器计满时,计数器cout出现上升沿,触发器D8的输出端输出高电平,此高电平信号将计数器清零,同时经反相器反相后接到触发器D7和D8清零端,以将触发器D7和D8清零。

在这种情况下,对于整个系统电路来说,当PULSE_IN上跳沿到来并经过抗冲击波电路后,若信号脉宽小于10μs,则输出PULSE_OUT为低电平;作用就是若信号脉宽大于10μs,则启动抗蚊虫干扰电路;若信号脉宽大于150μs,输出PULSE OUT则为低电平;而若脉宽小于150μs,则经过脉宽设定电路变成宽度为50μs的信号输出,从而使PULSE_OUT输出宽度为50μs的脉冲信号。

通过上述分析可知,应用CPLD可编程逻辑器件所设计的抗干扰电路具有信号可灵活调节、脉宽修改方便、对输入信号的脉宽适应能力强、可调节范围大、输出的脉冲宽度和幅值稳定准确等特点。







3 结束语

在测试弹丸射击密集度时,可利用CPLD器件设计抗干扰电路来消除冲击波和蚊虫干扰信号。本文给出的电路设计简单可靠,能有效地消除干扰脉冲,从而保证数据的准确性和可靠性。经重庆某靶场实际应用证明,本电路具有良好的效果。
本文地址:https://www.eechina.com/thread-27375-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表