1.4 Tbps VCU110 套件开箱性能演示

发布时间:2016-5-26 10:52    发布者:eechina
关键词: VCU110

视频简介:本视频向您展示了 VCU110 开发套件(基于 Virtex® UltraScale+™ FPGA)以及板卡的性能演示,包括如何快速启动,运行您的高端设计等。 VCU110 是高带宽应用(比如处理超过1.4 Tbps 数据传输数率)原型设计的理想之选。
本文地址:https://www.eechina.com/thread-166412-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表