Xilinx扩展SmartConnect技术,为16nm UltraScale+器件实现20%-30%性能突破

发布时间:2016-4-21 13:26    发布者:eechina
关键词: SmartConnect , Vivado , UltraScale
Vivado Design Suite 2016.1现提供SmartConnect 技术支持,能解决高性能数百万系统逻辑单元设计中的系统互联瓶颈问题

赛灵思公司(Xilinx)推出Vivado Design Suite2016.1 的 HLx版本。该全新套件新增了 SmartConnect技术支持,能为UltraScale和UltraScale+产品组合带来前所未有的高性能。Vivado Design Suite2016.1版本包含SmartConnect技术扩展,可解决高性能数百万系统逻辑单元设计中的系统互联瓶颈,从而让UltraScale和UltraScale+器件组合在实现高利用率的同时,还能将性能进一步提升20%-30%。

赛灵思UltraScale+ 产品组合是业界唯一一款基于FinFET的可编程技术。其包括Zynq、Kintex和Virtex UltraScale+器件,相对于28nm 产品而言,性能功耗比提升2-5倍,能支持5G无线、软件定义网络和下一代高级驾驶员辅助系统等市场领先应用。

赛灵思SmartConnect技术包括系统互联IP以及UltraScale+ 芯片技术创新所带来的最新优化:

•    AXI SmartConnect IP:赛灵思的新型系统连接生成器将外设与用户设计整合在一起。SmartConnect创建的定制互联功能能最好地满足用户的系统性能要求,从而能以更少的占用面积和功耗实现更高的系统吞吐量。现在,用户可通过Vivado Design Suite2016.1版本中的Vivado IP Integrator 抢先体验。
•    借用时间和有用的歪斜优化:这些优化技术得到新型UltraScale+精细时钟延迟插入功能的支持。这些全自动化功能通过将时序裕量从设计的高速路径转移到关键路径上,能够缓解大的线路延迟,并让设计运行在更高时钟频率上。
•    流水线分析与重定时:这些方法通过在设计中增加额外的流水线级,并运用自动寄存器重定时优化技术,让设计人员能够进一步提高性能。

供货情况
Vivado Design Suite HLx 版本和嵌入式软件开发工具2016.1版本现已开始供货,欢迎下载。如需了解有关赛灵思软件开发环境的更多信息,敬请访问赛灵思软件开发人员专区。如需了解有关 SmartConnect技术的更多信息,欢迎下载背景介绍<提供链接>并访问以下网址:china.xilinx.com/smartconnect。

关于赛灵思UltraScale+ 产品系列   
16nm UltraScale+ 系列FPGA、3D IC 和MPSoC凭借新型存储器、3D-on-3D和多处理SoC(MPSoC)技术,继续保持着“领先一代”的价值优势。为实现前所未有的高的性能和集成度,UltraScale+ 系列还采用了全新的SmartConnect互联优化技术。通过系统级的优化,UltraScale+ 系列提供的价值远远超过了传统工艺节点移植所带来的价值,系统级性能功耗比相比28nm器件提升了2-5倍,还实现了遥遥领先的系统集成度和智能化,以及最高级别的保密性与安全性。

本文地址:https://www.eechina.com/thread-165412-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表