FPGA/CPLD资料下载列表

经典FPGA书籍:ModelSim电子系统分析及仿真

《ModelSim电子系统分析及仿真》主要内容简介:ModelSim是优秀的HDL仿真软件之一,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和V ...
2014年07月28日 11:50   |  
ModelSim   系统分析   系统仿真  

至芯科技zx_2开发板基于VGA实验资料

2014年07月25日 13:50   |  
硬件   设计   电路   FPGA   嵌入式  

工业FPGA与MCU之争,鹿死谁手?

智能工业发展迅猛,工厂自动化、机器人技术、智能通信和工业安全等巨大商机更是铺天盖地而来。嵌入式系统作为兵家必争的滩头阵地,主控芯片 ...
2014年07月22日 11:00   |  
FPGA   MCU  

FPGA高手设计实战真经100则(英文原版)

100 Power Tips for FPGA Designers - Stavinov, Evgeni
2014年07月15日 16:57   |  
FPGA  

谈谈莱迪思如何掘金低功耗工业市场

最近在了解一些关于莱迪思如何掘金低功耗工业市场,去了很多网站、也搜刮了一些资料,包括莱迪思专注于提供低功耗工业解决方案、莱迪思如何 ...
2014年07月14日 15:15   |  
低功耗   工业   解决方案  

至芯科技ZX_2开发板原创VGA显示verilog 驱动代码-实验一

至芯科技ZX_2开发板原创VGA显示verilog 驱动代码
2014年07月13日 16:30

Quartus II 14.0正式版 下载链接和破解器

Windows版本 必装组件: Quartus II http://download.altera.com/akdlm/software/acdsinst/14.0/200/ib_installers/QuartusSetup- ...
2014年07月03日 15:04   |  
Quartus  

xilinx的GTX IP aurora点到为止教程

xilinx的GTX IP aurora点到为止,一学就会 先去看DDR教程
2014年07月03日 13:43   |  
aurora  

xilinx平台DDR3设计教程

xilinx平台DDR3设计教程 仿真、设计、应用和综合篇
2014年07月03日 13:31   |  
DDR3  

讲义:可编程逻辑器件--PLD

华中科技大学电信系数字视频中心鲁放
2014年07月02日 17:16   |  
PLD   可编程逻辑   FPGA  

至芯原创FPGA教程之分频计数器

FPGA培训就业班课程系统全面掌握FPGA设计开发技术,通过学习达到FPGA设计系统应用工程师水准,可以成为从事通信、数据处理、网络、仪器、工 ...
2014年06月21日 10:34   |  
分频计数器   FPGA  

【FPGA】硬件安全的难点

主要讨论FPGA产品中的恶意软件,或者门件所带来的问题。同时还讨论了恶意软件的分类、晶圆代工厂的可信度,以及由植入的恶意硬件发起的攻击 ...
2014年06月11日 11:17   |  
FPGA  

EDA技术实用教程

EDA技术实用教程
EDA技术实用教程
2014年06月03日 12:35   |  
EDA   教程  

数字信号处理的FPGA的实现

感觉不错的资料分享给大家
2014年05月25日 19:01   |  
FPGA  

采用低成本FPGA 构建IP 监视摄像系统

采用低成本FPGA 构建IP 监视摄像系统。
2014年05月10日 14:56   |  
FPGA  

下载排行榜

厂商推荐

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
返回顶部