基于CPLD/FPGA的呼吸灯效果实现_呼吸灯源码_明德扬资料

发布时间:2017-8-2 17:08    发布者:mdykj33
关键词: fpga呼吸灯 , 呼吸灯verilog源码 , 呼吸灯源码 , 呼吸灯程序
工程说明
本模块的功能要求是,实现8个灯前1s慢慢变暗,后1s慢慢变亮,不断重复以上操作。

案例补充说明
呼吸灯效果的LED每时每刻都在以不同的功率工作,以不同的亮度值拟合亮度变化,从而形成非常平顺柔和的灯光特效。亮度变化实际上是通过占空比的变化周期来确定的。占空比通过cnt2的计数来变换,而每次变化为1ms,因此PWM的周期是1ms。

代码文档说明
至简设计法--特效呼吸灯.rar (24.91 KB)

本文地址:https://www.eechina.com/thread-454065-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表