《CPLD/FPGA嵌入式应用开发技术白金手册》源代码

发布时间:2012-3-19 22:29    发布者:诸葛孔明
关键词: CPLD , FPGA , 嵌入式应用
内容预览:

第三章

coder_decoder_8_3.vhd,coder8_3.vhd,coder8_3_1.vhd
         
不同方式实现的8线-3线编码器

decoder_3_8.vhd   3线-8线译码器

dff.vhd  D触发器

jioujiaoyan.vhd,jioujiaoyan1.vhd  奇偶校验

mux2to1.vhd,mux3to1.vhd,mux3to1_1.vhd,mux4to1.vhd  多路选择器

myrisc.vhd,myrisc2.vhd

myand.vhd,myand1.vhd   多输入与门

下载: 《CPLDFPGA嵌入式应用开发技术白金手册》源代码.rar (317.81 KB)
本文地址:https://www.eechina.com/thread-87512-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
rinllow6 发表于 2012-3-20 22:46:52
谢谢!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
rui22 发表于 2012-6-19 14:50:05
很不错哟
plaserjet 发表于 2013-1-8 10:53:21
感谢!!!!!!!楼主好人
shallluo 发表于 2013-1-13 00:59:04
不错 配套的 谢谢
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表