三菱FX2n plc(编程口)与昆仑通态(MCGS)无线通讯案例

发布时间:2019-11-22 17:13    发布者:wireless
关键词: PLC无线通讯模块 , 485传输器
一、系统组成
昆仑通态使用三菱 PLC FX2N 编程口通过专用协议对 PLC 站点相应寄存器进行读写操作, 从而实现对站点工作状态的控制。这种情况下三菱PLC专用无线通讯终端——DTD435MC,可以使计算机远程无线监控远程站点。
                                                                           文章.png                                             
二、编程线制作
计算机端通过编程电缆使用三菱专用协议进行站点控制,编程电缆可采用自制电缆,与 RS232 对接即可直接进行程序下载及站点控制。PLC 编程电缆是八针的选型接头,与 RS232 的 DB9 接线如下图所示:
                                                                                  文章1.png
三、昆仑通态组态环境搭建
打开昆仑通态控制台,如图所示:
                                                                      文章2.png
首先创建工程画面,本实例通过组态软件实时控制 PLC 相应输出寄存器,演示无线通信实时性和稳定性,分别创建 Y000-Y007 等八个按键,用于写输出状态,创建 8 个文本显示框分别显示当前本地按键状态0 或者 10表示断开状态1 表示导通状态,再创建 8 个指示灯分直接读取 PLC 端的输出寄存器状态,工程界面如下图所示:
                                                                                              文章3.png
其次创建设备窗口,父窗口选择通用串口父设备,下挂两个设备,选择 FX 系列串口,分别为设备 0 设备 1,用来分别读写相应寄存器的值。读写为两个设备有效避免了计算机的写操作在本地影响读操作的正确性,应该直接从 PLC 端读取数据  
                                                                                                                                                                                       文章4.png    
子设备 0 属性设置,选择最小采集周期为 1000,通讯等待时间为 200,使用协议 4,不求校验值,CPU 选择为 FX2N。
                                              文章5.png
同样方法设置设备1
                                                                                        文章7.png
设置设备 0 内部属性,只写通道 Y0000-Y0007:
                                                                                     文章8.png
设置设备 1 内部属性,只读通道 Y0000-Y0007:
                                    文章9.png
创建实时数据库,分别创建 X000-X007,Y000-Y007 到通道 Y0000-Y0007 上:
                                                                文章10.png
组态画面创建完成。
四、实例总结
   使用两块DTD435MC无线通讯终端,可以取代原有的Rs232串口线,实现三菱FX2N系列PLC与昆仑通态组态软件的无线通信,并能实时稳定的进行检测控制。
                                     文章11.png
本文地址:https://www.eechina.com/thread-571392-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表