使用VHDL进行分频器设计

发布时间:2011-3-2 21:30    发布者:Tommyde
关键词: FPGA , VHDL , 分频器
分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。基于FPGA实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如VHDLVerilog HDL等。使用锁相环电路有许多优点,如可以实现倍频;相位偏移;占空比可调等。但FPGA提供的锁相环个数极为有限,不能满足使用要求。因此使用硬件描述语言实现分频电路经常使用在数字电路设计中,消耗不多的逻辑单元就可以实现对时钟的操作,具有成本低、可编程等优点。

使用VHDL进行分频器设计.pdf

412.19 KB, 下载积分: 积分 -1

本文地址:https://www.eechina.com/thread-56711-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
rinllow5 发表于 2011-3-2 23:54:32
谢谢!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
1169325236 发表于 2011-3-12 12:27:50
感谢分享
leeux 发表于 2011-4-1 06:59:50
很好,谢谢。。
haihu608 发表于 2011-4-8 13:22:27
学习中
thmoasmary 发表于 2011-5-11 12:23:16
CPLD里面干过这事
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关在线工具

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表