勇敢的芯伴你玩转Altera FPGA连载44:基于仿真的第一个工程实例之Modelsim仿真验证基

发布时间:2018-1-24 21:33    发布者:rousong1989
勇敢的芯伴你玩转Altera FPGA连载44:基于仿真的第一个工程实例之Modelsim仿真验证基本设置
特权同学,版权所有
配套例程和更多资料下载链接:
http://pan.baidu.com/s/1i5LMUUD
1.jpg
         好,既然我们的语法检查通过了,那么接下来我们不妨小试牛刀,让仿真工具Modelsim来输出波形验证设计结果和我们的预想是否一致。在用Modelsim仿真前,在Quartus II中需要确认几个设置。如图6.11所示,点击菜单的“Tools à Options…”。
2.jpg
图6.11Options菜单选项
         如图6.12所示,进入选项卡“General à EDA Tool Options”,设置“Modelsim-Altera”后面的路径,即我们安装Modelsim时的路径。
3.jpg
图6.12 设置ModelSim路径

本文地址:https://www.eechina.com/thread-522532-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表