查看: 3253|回复: 0

基于Verilog FPGA 流水灯设计_流水灯源码_明德扬资料

[复制链接]
发表于 2017-8-2 16:55:40 | 显示全部楼层 |阅读模式
关键词: Verilog流水灯 , 流水灯 , 流水灯源码
工程说明
在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。

案例补充说明
FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。


代码文档说明
至简设计法--流水灯.rar (98.54 KB)
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表