查看: 5844|回复: 1

D触发器和锁存器的VHDL设计

[复制链接]
发表于 2010-11-20 11:10:33 | 显示全部楼层 |阅读模式
关键词: D触发器 , VHDL , 设计 , 锁存器
LIBRARY IEEE ;
USE IEEE.STD_LOGIC_1164.ALL ;

ENTITY DFF1 IS

PORT (
CLK :
IN STD_LOGIC ;


D :
IN STD_LOGIC ;


Q :
OUT STD_LOGIC );

END ;

ARCHITECTURE bhv1 OF DFF1 IS      --D触发器

SIGNAL Q1 : STD_LOGIC ; --
类似于在芯片内部定义一个数据的暂存节点

BEGIN


PROCESS (CLK)


BEGIN


IF
CLK = '1'
THEN


Q1 <= D ;


END IF;


END PROCESS ;


Q <= Q1 ;
--
将内部的暂存数据向端口输出(双横线--是注释符号)
END bhv1;


ARCHITECTURE bhv2 OF DFF1 IS      --D锁存器

SIGNAL Q1 : STD_LOGIC ; --
类似于在芯片内部定义一个数据的暂存节点

BEGIN


PROCESS (CLK,Q1)


BEGIN


IF
CLK = '1'
THEN

          Q1 <= D ;


END IF;


END PROCESS ;


Q <= Q1 ;
--
将内部的暂存数据向端口输出(双横线--是注释符号)
END bhv2;
发表于 2010-12-25 14:31:56 | 显示全部楼层
书上都有的,刷分的呀
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表