高速自动测试设备的未来

发布时间:2010-8-30 21:52    发布者:conniede
关键词: ATE , BIST , DFT , SoC
半导体业正在逐渐变换到纳米制造工艺。纳米技术带来巨大的好处:几乎可以自由地增加晶体管数。另一方面,CMOS工艺已发生显著地变化,因此,纳米SOC出现新型的制造缺陷。第一个问题是在高频时会增加定时失效数。其他问题还包括串扰、时钟歪斜和同步、高速I/O参量失效,由于其模拟特性,它们对来自相邻数字芯核的注入噪声特别敏感。为了解决相关的质量和测试成本问题,正在研究新的测试设计(DFT)技术和其他测试方法。特别是AC扫描和内装自测试(BIST)/环回技术,正在日益用于改善器件高速部分与定时有关的失效。

这些增强结构的测试开发,最后是否需要千兆赫数据率高速自动测试设备(ATE)?高速ATE系统中的高速功能和参量测试将来技术上是否继续需要?经济上是否合理等问题会随之而产生。

纳米制造缺陷及后果

改变缺陷特性的一个例证是大量增加与定时有关的故障。这往往在高频导致故障,如固定性故障。与DC故障比较,相关的定时问题只能通过高速测试来检测。

随着器件尺寸的减小,晶体管关键参量(如栅氧化层厚度、阀值电压,有效晶体管长度,漏电流)随之增大静态变率。这都会影响定时。

这在本质上会导致器件寄生参量非理想定标和非理想印刷板走线的变率。这些因素会使芯片速度和功耗导致大的变化。

电容串扰效应和RC内连延迟会进一步恶化小规模器件的高速性能。内连引起的传播延迟支配晶体管栅极延迟。这种效应会影响器件性能。

对于这些复杂的纳米器件,其传统高速功能测试是针对信号完整性问题(如IR压降,感性干扰,衬底耦合,电移),这些问题不可能用电流仿真技术展示。高速测试也可达到所需的定时关闭。

新纳米设计的产品直线上升期间,低产出往往是个问题,因为缺陷对应用比从前的技术有更强的依赖性。需要更全面的测试来达到产品器件所需的质量水平。与DFT能力一起,高速功能测试为了解新制造工艺固有的故障机构提供主要的反馈环路。

SOC设计中的同步问题

系统宽时钟同步是大量纳米设计的主要问题之一。当高速设计的最小时钟周期减小时,裸片尺寸仍保持大的,这是因为更多元件集成在同一裸片上。因此,与内连延迟大约成正比的有关时钟歪斜变成时钟周期的重要部分,而同步设计中的跨芯片通信需要一个时钟周期以上时间。

采用复杂的时钟去歪斜技术来解决这些问题。在大量的设计中,新的方法,如整体异步局部同步(GALS)结构正在替代通常的定时方法。然而,在SOC设计中不同域之间的数据传输仍然必须重新同步。高速功能测试可解决这类同步问题,但其他高速方法(如AC扫描)不能解决这类同步问题。

高速I/O测试问题

现在,高性能SOC设计包含大量不同的高速I/O总线和协议。可以看到不同信号传输类型的广泛混合,从同步双向到单向信号传输和单端到低压差分信号传输。具有分离时钟信号的传统宽、并行、源同步总线结构正在被窄、串行、嵌入式时钟技术替代。在器件的接收器口用具有时钟和数据恢复(CDR)单元的串行器/解串器(SerDes)从输入数据流中提取时钟信号。

PC芯片组器件是混合I/O类型的例证(图1)。例如,PCIExpress和S-ATA都用具有单向低摆幅差分信号传输的嵌入式时钟技术。PCI Express可包含运行在2.5Gb/s数据率下的32个通道,而S-ATA在1.5Gb/s或3Gb/s只支持一个通道。


图1 具有存储器桥(北桥)和I/O桥(南桥)的Intel基PC芯片组结构

相反,DDR存储接口和Intel的前端总线(FSB)结构现在采用单端、双向、源同步技术。现在FSB的800Mb/s数据率可望很快增大到1066Mb/s,甚至可达到1.6Gb/s。


为了适应这种硬件变化和不定的行业定时,需要有灵活的测试设备。需要几百高速引脚,但是,多时钟域也工作在不固定的速率,因为不同的接口必须同时测试。

SerDes宏单元大量集成到消费类SOC器件中,这会带来与I/O有关的复杂测试问题,例如,与抖动有关的广延参数测试。对于高集成SOC器件,这些测试似乎是更重要的,因为它们大量的芯核可能对有效的关闭芯片数据传输有负面冲击。

高集成数字ATE通道比传统机架或混合信号仪器更适合于参量测试。需要几千兆赫的输入模拟带宽、低的固有系统抖动和高定时精度。因为它是针对所有这些测试问题,所以,高速功能测试对于芯片正确逻辑和电气性能的验证仍将是主要工具。这是高速器件调试和特性鉴定期间两个主要的任务。

全速度功能测试和全速度DFT共存

随着测试成本的继续降低,裸片上测试能力资源的广泛开发和应用不断向前推进。为了检验相关定时间题,日益采用传输故障的全速度结构测试、关键通路的通路延迟测试和BIST/环回技术。

结构全速度方法的一个例子是AC扫描,而EDA工具中对AC扫描的支持不断改进。然而,AC扫描测试期间的开关动作与功能测试是完全不同的,正是由于此原因,这不可以模拟真实的应用条件。因此,这样的方法需要的实际功能测试的广延相关性。甚至有良好的相关性,仍然会有其他可能的问题,导致成品率损失增加或测试漏失。

不精确的延迟测试可能是成品率损失和测试漏失的另一个原因。只有几十皮秒的延迟通路测量误差相当于内部时钟周期的5%。至今不知道对延迟通路测量加容限测试的方法,所以,这些误差可导致成品率损失或测试漏失。

把片上BIST结构与串行环回方法相结合是全速度产品测试的另一种流行技术,特别是对于SerDes I/O单元的测试。用专门的ATE环回卡(如Agilent93000 BIST Assist6.4)可增强测试范围,除基本功能测试外也支持参量测量(图2)。


图2 BIST环回测试方法与专用ATE环回卡结合

尽管DFT或其他低成本技术对于高速器件的很多高频I/O特性是最经济的测试方案,但仍然对ATE有较强的要求,希望它能提供全速度激励和捕获,特别是在产品定型前更是这样。

当DFT完全取代全速度功能测试时,可达到的故障覆盖率趋于折衷。这可能是一种潜在的风险,特别是对于把工艺技术推至极限的新I/O技术而言。另外,DFT仍然是一种成熟的技术,而不同的硅供应商遵循不同的DFT开发战略。基于此原因,全速度DFT不是一贯的跨业界实现。甚至在生产中,在可预测的将来,整个业界将不希望用全速度DFT完全替代全速度功能测试。

高速ATE通道的关键要求

对于设计特征,ATE高速驱动和捕获能力必须配合高定时的精度。同等重要的是,必须提供的ATE功能是经济的,因为半导体厂家面对巨大的成本压力。

高速ATE要求如下:

·高度灵活性:其能力包括各种不同的I/O类型。

·完全可量测性:其能力包括所需速度的整个范围和所需的引脚资源。数据率范围从几百兆赫到几千兆赫,所需引脚数高达2000引脚。

·高性能:高精度和快速吞吐量。

·多时钟域支持。

·负担得起的成本。


结语

不管现在的进展如何,全速度结构和BIST基环回测试不大可能解决与纳米制造缺陷相关定时的所有问题。随着大多数产品寿命周期变得越来越短,而检验和最佳化DFT电路达到必须的水平变得更加困难。很多情况下,DFT基技术将与有限数的功能全速度图形共存,这可填充仅DFT技术的漏失测试范围。因此,可提供高速、高密度和高度通用的ATE将仍然是获得成功半导体制造的关键。
本文地址:https://www.eechina.com/thread-24626-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表