小梅哥和你一起深入学习FPGA之数码管动态扫描(下)

发布时间:2016-2-17 08:39    发布者:designapp
关键词: FPGA , 动态扫描
  


  测试平台设计
  本实验主要对数码管驱动引脚的状态与预期进行比较和分析,通过仿真,验证设计的正确性和合理性。数码管驱动模块的testbench如下所示:
  `timescale 1ns/1ns
  module DIG_LED_DRIVE_tb;
  reg [23:0]data;
  reg clk;
  reg rst_n;
  wire [7:0]seg;
  wire [2:0]sel;
  DIG_LED_DRIVE DIG_LED_DRIVE_inst1(
  .Data(data),
  .Clk(clk),
  .Rst_n(rst_n),
  .Dig_Led_seg(seg),
  .Dig_Led_sel(sel)
  );
  initial begin
  data = 0;
  clk = 1;
  rst_n = 0;
  #200;
  rst_n = 1;
  data = 24'h012345;
  #10000;
  data = 24'h518918;
  #10000;
  data = 24'h543210;
  #10000;
  $stop;
  end
  always #10 clk = ~clk;
  endmodule
  每隔一段时间,更换数码管的Data输入数据,观察数码管的输出是否正确。
  仿真分析
  具体的仿真结果小梅哥就不一一给大家分析了,读者只需要对着图和代码中的编码比较,便能获知设计的正确性。
  下板验证
  手头暂无开发板,板级验证略。
  过段时间等小梅哥有了自己的开发板,再来补上板级验证结果。
                               
               
本文地址:https://www.eechina.com/thread-160789-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表