基于Modelsim FLI接口的FPGA仿真技术

发布时间:2015-11-24 09:26    发布者:designapp
关键词: Modelsim , FPGA
  1、Modelsim 及 FLI接口介绍
  Modelsim是 Model Technology(Mentor Graphics的子公司)的 HDL 硬件描述语言仿真软件,可以实现 VHDL, Verilog,以及 VHDL-Verilog 混合设计的仿真。除此之外,Modelsim还能够与 C 语言一起实现对 HDL 设计文件的协同仿真。同时,相对于大多数的 HDL 仿真软件来说,Modelsim 在仿真速度上也有明显优势。这些特点使 Modelsim 越来越受到 EDA设计者、尤其是 FPGA 设计者的青睐。
  Modelsim的 FLI接口(即 Foreign Language InteRFace)提供了C 语言动态链接程序与仿真器的接口,可以通过 C 语言编程对设计文件进行辅助仿真。
  2、协同仿真系统结构及意义
  协同仿真就是利用仿真工具提供的外部接口,用其他程序设计语言(非 HDL 语言,如C 语言等)编程,辅助仿真工具进行仿真。Modelsim 提供了与 C 语言的协同仿真接口。以Windows 平台为例,用户通过 modelsim提供的 C 语言接口函数编程,生成动态链接库,由modelsim调用这些动态链接库进行辅助仿真(图 1)。
  


  图 1协同仿真示意图
  Modelsim 与 C 语言协同仿真一是用于产生测试向量,避免手工编写测试向量的繁琐;二是可以根据程序计算结果自动检查仿真结果正确与否;三是模拟其他模块(如 RAM)的功能,在系统级对设计文件仿真。实际中一般是把一和二结合在一起,用程序产生仿真向量,一方面输出给设计文件作为输入,另一方面由程序本身对该向量计算,把得到的结果与仿真器的输出结果比较,检查逻辑是否正确(图 2)。至于模拟功能,现在已经有一些通用芯片的模拟程序,如 denali 可以模拟 RAM 的功能。另外,用户也可以利用 modelsim 提供的编程接口自己模拟一些芯片的行为,然后与设计文件连接到一起仿真。
  


  图 2 语言测试程序对 VHDL 设计的协同仿真结构图
                               
                  3、C语言对 VHDL设计的协同仿真
  3.1 构成框图
  仿真文件的构成如图 3 所示,包括 HDL 文件和动态链接库(即 C 程序)。图中 C 程序对应的 VHDL 文件要负责声明对应的动态连接库文件名及初始化函数,另外还可以给出一些调用参数。动态链接库中用到的输入输出信号也要在对应的 VHDL 文件中声明。
  


  图3 仿真文件构成示意图
  例如,假定有一个DLL文件名为sim.dll,对应的初始化函数为sim_init,有输入信号in1,in2,输出信号 out1,out2,可以这样编写对应的 VHDL 文件  (sim.vhd):
  library ieee;
  use ieee.std_logic_1164.all;
  entity sim is
  port(
  in1: in std_logic;
  in2: in std_logic;
  out1: out std_logic;
  out2: out std_logic;
  );
  end entity sim;
  architecture dll of sim is
  attribute foreign : string;
  attribute foreign of dll : architecture is "sim_init sim.dll”
  begin
  end;
  仿真时,仿真器对顶层的 HDL 文件进行仿真,并根据各 VHDL 文件的动态链接库声明来调用、执行相应的动态链接库。
  3.2 动态链接库的程序结构
  modelsim 在仿真时,根据 VHDL 文件的声明,调用 DLL 文件(如 sim.dll)。在 VHDL文件中已经给出了调用文件(sim.dll)和初始化函数名(如 sim_init),modelsim根据这些信息,调用 sim.dll中的 sim_init 函数,完成初始化工作。初始化包括:
  1. 初始化全局变量;
  2. 设置 VHDL 输入输出信号与 C 程序变量的对应关系;
  3. 设置输出信号的一些初始状态(mti_ScheduleDriver);
  4. 设置在仿真器重新仿真(restart)和仿真器退出仿真(quit)等情况下执行的一些函
  数(mti_AddRestartCB 和mti_AddQuitCB 等),如释放动态申请的内存等等;
  5. 设置敏感表,给出在某些信号发生某些变化(如时钟上升沿等)时执行的函数。
  6. 等等。
  下面结合 3.1的例子(sim.vhd),给出C 程序的设计步骤。
  1.包含头文件,包括 C程序常用的一些头文件和 Modelsim给出的外部语言接口头文件m ti.h。Modelsim给出的外部接口函数说明、类型定义等都在 mti.h 中。
  2.定义自己的结构体,这一点主要是为了编程方便,例如输入输出信号对应的变量在各函数中基本上都会用到,可以把这些变量定义成一个结构,便于参数传递。例如,我们可以把 3.1 的 sim.vhd 输入输出信号对应的变量定义成结构:
  typedef struct {
  driverID out1;
  driverID out2;
  signalID in1;
  signalID in2;
  }PortStruct;
  其中 driverID 表示输出信号对应的变量;signalID表示输入信号对应的变量。这样,这里定义的变量 out1, out2, in1, in2 就分别与 sim.vhd中的信号 out1, out2, in1, in2 对应。
                               
                  3.编写初始化函数
  初始化函数的定义为:
  init_func(mtiRegionIdT region, char *param, mtiInteRFaceListT*generics, mtiInterfaceListT *ports)
  各参数的含义可以参阅 modelsim的用户手册。
  下面结合上面给出的初始化函数要完成的任务来详细说明。
  a.初始化全局变量(略)
  b.设置 VHDL 输入输出信号与 C 程序变量的对应关系。这是通过调用 mti_FindPort 函数实现的。mti_FindPort 函数定义为:
  mtiSignalIdT mti_FindPort(mtiInterfaceListT *list, char *name);
  例如,定义输入输出信号对应的结构为iportStruct ip;
  就可以用:ip.in1 = mti_FindPort(ports, “in1”);来实现输入信号in1与变量in1的对应关系。
  对输出信号来说,它的目的是产生驱动,因此,这些变量(out1和out2)除了要找到对应的输出信号外,还要驱动这些信号。对信号的驱动可以通过调用  mti_CreateDriver函数来实现。该函数的定义为:mtiDriverIdT   mti_CreateDriver(mtiSignalIdT sig);
  由于这些变量一般只用于对外驱动,因此可以简单写成下面的形式:
  ip.out1 = mti_CreateDriver(mti_FindPort(ports, “out1”));
  c.调用mti_ScheduleDriver函数,设置输出信号的初始状态。  mti_ScheduleDriver函数的
  定义为:void mti_ScheduleDriver(mtiDriverIdT driver, long value,   mtiDelayT delay, mtiDriverModeT mode);
  其中driver是输出信号对应的变量名,如我们这里的ip.out1和ip.out2;value是要设置(驱动)的值,如高电平(‘1’,对应value为3)、低电平(‘0’,对应value为2)、高阻(‘Z’,对应value为4)、未赋值(‘U’,对应value为0)等等;delay是从当前时间开始到把信号驱动成给定值(value)的等待时间,单位与仿真器当前使用的最小时间单位相同;mode为信号模式,有两个值可供 3选择:MTI_INERTIAL或者是MTI_TRANSPORT,分别对应于标准VHDL语言的INERTIAL和TRANSPORT。例如,我们设置信号out1的初始状态为低电平:mti_ScheduleDriver(ip.out1, 2, 0, MTI_INERTIAL);
  d.设置在仿真器重新仿真(运行命令restart)或退出仿真(运行命令quit –sim)等情况下调用的函数。这一部分主要是为了释放内存或者保存当前状态等。以restart为例,假设我们在程序中用malloc申请了存储空间 buf,在仿真器“restart”时需要释放,就可以用以下的函数调用来注册:mti_AddRestartCB(free, buf);
  在注册后,当仿真器运行命令restart时就会调用free(buf)。
  其他一些函数可以参照Modelsim的用户手册,这里不再详述。
  e.设置敏感表,给出在某些信号发生某些变化时(如时钟上升沿等)执行的函数。例如,在输入信号in1发生变化时,要执行函数in1_change(in1_change为用户定义好的函数),可以这样定义:
  processID proc;
  proc = mti_Cre ateProcess("P_in1change", in1_change, &ip);
  mti_Sensitize(proc, ip.in1, MTI_EVENT);
  也就是说,先创建进程,然后设置敏感表,当满足敏感表的条件时,仿真器就会执行该进程。
  mti_CreateProcess函数的定义为: mtiProcessIdT mti_CreateProcess(char *name, mtiVoidFuncPtrT func, void *param);
  其中name是将要在仿真器窗口中显示的名称;func是要执行的函数;后面的param是要传给func的参数。mti_Sensitize的定义为:void mti_Sensitize(mtiProcessIdT proc, mtiSignalIdT sig, mtiProcessTriggerT when);
  其中proc为调用mti_CreateProcess的返回值;sig为信号名,即VHDL文件的输入输出信号对应于C程序的变量;when可以取MTI_EVENT或者MTI_ACTIVE两种值。
  3.4 C程序的编译
  对 Windows平台,采用的编译器是 Microsoft Visual C++,并用如下的命令行进行编译:
  cl -c -Imodeltechinclude app.c
  link -dll -export: app.obj modeltechwin32mtipli.lib
  上面的是 modelsim 的安装目录,是 C 程序的初始化函数名,如我们给出的 sim.c 中的 sim_init。编译之后就可以生成.dll 文件。
  最后,仿真向量是用 C语言还是用 HDL 直接产生,要视设计者的应用而定,选取最简单的方式。在大多数情况下,用 C语言和 HDL 联合生成测试向量会更方便些。
  参考文献:
  1、《可编程逻辑系统的VHDL设计技术》[美]Kevin Skahill编著,朱明程孙普 译,东南大学出版社,1998.9
  2、“Modelsim User’s Manual”, Mentor Graphics, Modelsim帮助文件
                               
               
本文地址:https://www.eechina.com/thread-157369-1-1.html     【打印本页】

本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。
您需要登录后才可以发表评论 登录 | 立即注册

厂商推荐

相关视频

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
快速回复 返回顶部 返回列表