分享 VHDL基本模型结构
凌乱未醒 2013-4-29 10:41
设计实体 entity or_gate is port(a:in bit ; b:in bit; c:out bit;); end or_gate; architecture behave of or_gate is begin c=a or b; end behave; 实体说明 entity 实体名》 is end 实体名; 类属说明::generic(); entity or_gate is generic (delay :time:=1 ns ...
个人分类: 初学VHDL|1601 次阅读|0 个评论
关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
返回顶部