电子工程网问答列表

求索尼的CCD或者aptina的IC购买渠道~

帮一朋友问题的~
2012年08月15日 09:16

如何用fpga实现频率测量啊?还有begin end的时序问题

这是我的代码,目的是设计一个同步捕获的计数器用来测频,大家看看能行吗 我就是第三个always弄不清楚,编码是发生在fre1的上升沿,还是说在clk的上升沿就发生,计数清零又是发生在什么时候 ...
2012年08月14日 22:40   |  
时序   begin-end   测频  

征求好点子:用STM32 F0(Cortex-M0内核)做点什么?

Cortex-M0是个入门学习ARM的好东西。过去咱们搞过Cortex-M3的复读机,吸引了不少人气。这次希望搞些短平快的项目,可以不限一项,实用性、趣味性更强的,看看大家都有什么好点子 背景资料: ...
2012年08月14日 16:38   |  
STM32   F0   Cortex-M0  

FabLink XE中如何放置环形排列的邮票孔?

用Expedition PCB画一个圆板,用FabLink XE拼版,但出现一个问题,邮票孔无法精确的环形排列。 FabLink XE中无法用Keyins命令阵列粘帖邮票孔,但以前邮票孔是直线排列,用个笨方法,画个辅助圆 ...
2012年08月14日 10:48

急!!!!!!!!!!fpga设计:两个异步全局时钟的切换

我现在有两个clk:clk1和clk2,都是100mhz,我使用bufgmux进行全局时钟的切换,但是不能够热插拔(clk1是永远存在的;clk2优先级高于clk1,当程序运行正常后,拔掉或者插上clk2程序将死掉;但是 ...
2012年08月13日 10:40

人都跑哪里去?几天没来,都没见人来灌水了

现在急求安徽庐江的套图,有的伙计发邮箱!
2012年08月10日 21:18
求助,求助,求助!FSA8008管脚定义

求助,求助,求助!FSA8008管脚定义

各位大神,VIO,VDD,DET,等等分别对应几脚,我们不知道。如果这个不知道的话,我们下面没法画原理图和PCB。我网上查过,但是但是具体每个引脚对应什么参数,手册没有写清楚。
2012年08月10日 15:52   |  
FSA8008   管脚定义  

求助

求PCB设计高手无数名,解救正在突出重围的学弟学妹!!求加入QQ群64503800,共同学习进步!!
2012年08月06日 10:32   |  
PCB设计  

提问帖求大虾们帮帮忙

小生 毕业论文的题目是 中国制造业转型 方面的 中国入世十年,开放的环境让中国制造业有了更多“走出去”的机会。而同时挑战和争端也没有平息,从纺织、家电到新能源,针对中国商品的各种壁垒 ...
2012年08月02日 16:03   |  
毕业论文     在线   中国制造业   资料  

STM32+VS1003b+M25P64(SPI FLASH)

各位大侠,我用STM32F103+VS1003b+M25P64做的音乐播放器,现在遇到瓶颈,苦恼调了几天没得结果,郁闷+不甘心啊~~ 正弦测试,RAM测试都通过了,但是音乐文件从SPI的FLASH读出后,经STM32缓冲, ...
2012年08月01日 17:30

大三菜鸟请教各位前辈FPGA的问题。【2012.8.1】

大家好,本人一所211学校微电子专业大三学生,开学大四就要找工作了,想从事FPGA方面工作,趁这两三个月想好好准备下。我本科成绩还过得去,基本班级前10,但没什么项目经验……自己上网查了一 ...
2012年08月01日 02:03   |  
FPGA  

高速PCB设计

据有关高速PCB布线建议的文章介绍,SDRAM存储器走线时要注意“尽量短且等长”,这是对于什么线来说的?
2012年07月29日 15:33

厂商推荐

问答分类

关于我们  -  服务条款  -  使用指南  -  站点地图  -  友情链接  -  联系我们
电子工程网 © 版权所有   京ICP备16069177号 | 京公网安备11010502021702
返回顶部